Welcome![Sign In][Sign Up]
Location:
Search - vhdl iic

Search list

[Com Portuart_VHDL

Description: uart的vhdl实现代码 分模块设计和状态机设计 不错的,用它没错-UART achieve the VHDL code modular design and state machine design good, the right to use it
Platform: | Size: 10240 | Author: 王平 | Hits:

[DocumentsUART(FPGA)

Description: 基于FPGA的串行通信UART控制器,采用VHDL语言编写,包含多个子模块。 在ISE或FPGA的其它开发环境下新建一个工程,然后将文档中的各个模块程序添加进去,即可运行仿真。源程序已经过本人的仿真验证。-FPGA-based UART serial communication controller, using VHDL language, includes a number of sub-module. ISE FPGA or in the other developing a new environment, then documentation of the various modules of procedures added to it, will be running simulation. I have been the source of the simulation.
Platform: | Size: 14336 | Author: 李浩 | Hits:

[VHDL-FPGA-Verilogiictestbench

Description: vhdl写的完整i2c代码,有仿真文件,是清华的人写的,质量可靠,请大家交流,qq:398087764-vhdl the integrity i2c write code, simulation document, the writers of Qinghua, reliable quality, Please exchange qq : 398087764
Platform: | Size: 214016 | Author: sunwei | Hits:

[DSP programOV9121

Description: CMOS 9121 的设置程序,通过IIC设置,教你如何使用SCCB总线控制COMS。-CMOS 9121
Platform: | Size: 47104 | Author: 曹铭 | Hits:

[VHDL-FPGA-Verilogi2c

Description: SAA7114 和 FPGA/CPLD之间通讯的程序,本人觉得比较好,而且里面还添加了,ROM,用来存取IIC的常数和读来的数据。-SAA7114 and FPGA/CPLD communication between the procedures, I feel better, but it also added, ROM, used to access the IIC to the constant and time data.
Platform: | Size: 8192 | Author: 张亚伟 | Hits:

[VHDL-FPGA-VerilogmaxII_verilog_i2c

Description: verilog语言在maxII系列芯片上实现iic功能-Verilog language in maxII series chip IIC function
Platform: | Size: 520192 | Author: nedazq | Hits:

[VHDL-FPGA-Verilogiic_bus_example

Description: 使用vhdl构建的iic总线,对应与fpga的硬件开发平台-Use VHDL to build the IIC bus, corresponding with the FPGA hardware development platform
Platform: | Size: 217088 | Author: 惠普 | Hits:

[MiddleWareI2C_to_GPIO

Description: IIC TO gpio 很好的参考程序-IIC TO gpio good reference procedures
Platform: | Size: 2048 | Author: 黄泥巴 | Hits:

[VHDL-FPGA-VerilogDS1307_LCD

Description: 通过IIC总线读写实时时钟DS1307,并把时、分、秒显示在12864液晶屏上,用的CycloneII EP2C8,Quartus环境-Through the IIC bus read and write real-time clock, DS1307, and the hours, minutes and seconds displayed on the LCD screen on the 12864, used CycloneII EP2C8, Quartus environment
Platform: | Size: 1311744 | Author: iversn | Hits:

[VHDL-FPGA-VerilogI2CController

Description: Xilinx的I2C总线控制器,verilog版本,文档号是XAPP333,可到Xilinx网上查找具体说明,有对应的VHDL版本的-Xilinx
Platform: | Size: 22528 | Author: iversn | Hits:

[OtherCANBUS_USB_fpga

Description: 几个非常适用的用FPGA编写的实例,包含IIC。UART,USB等几个-Several very applicable to the preparation of examples of using FPGA, including IIC. UART, USB, etc.
Platform: | Size: 1516544 | Author: 云川 | Hits:

[VHDL-FPGA-Verilogi2cEEPROM

Description: 使用VHDL编写的操作EEPROM来控制iic的读写操作,很方便-Use VHDL to prepare the operation to control the IIC EEPROM read and write operation, it is convenient
Platform: | Size: 985088 | Author: 熊云川 | Hits:

[VHDL-FPGA-VerilogI2Cslave

Description: 用FPGA作的IIC的收端,文字不够,说点废话-Using FPGA for the resumption of the IIC-side, not enough characters that nonsense point
Platform: | Size: 1024 | Author: lonely_vv | Hits:

[VHDL-FPGA-VerilogIIC_communication_experiment

Description: BJ-EPM240V2实验例程以及说明文档实验之十IIC通信实验-BJ-EPM240V2 experimental test routines as well as documentation of the communication experiment IIC
Platform: | Size: 473088 | Author: 王建毅 | Hits:

[source in ebook61EDA_D1037

Description: 实现IIC协议,非常适合初学FPGA者,是很好的参考代码。-EEPROM
Platform: | Size: 526336 | Author: 姜虹 | Hits:

[Other Embeded programI2C

Description: ICC时序电路 读写程序图 VHDL语言编写了一个IIC控制程序-ICC
Platform: | Size: 23552 | Author: | Hits:

[VHDL-FPGA-VerilogI2C

Description: iic verilog 从机程序 包含iic Verilog的主模块,控制模块和io寄存器模块-iic Verilog slave
Platform: | Size: 350208 | Author: ppddxxx | Hits:

[VHDL-FPGA-VerilogWBIIC

Description: 基于IIC Controller实现的对TP401视频解码芯片的工作模式配置。-IIC Controller implementation based on the TP401 video decoder chip mode configuration.
Platform: | Size: 3072 | Author: 左左 | Hits:

[VHDL-FPGA-Verilogi2c

Description: IIC核,可以直接仿真。对于IIC初学者非常有帮助。-IIC core, simulate directly。It s helpful for guys beginning study.
Platform: | Size: 12288 | Author: 石欧文 | Hits:

[VHDL-FPGA-Verilogi2c_master_slave_core_latest.tar

Description: IIC IP核,可以直接集成在SOPC中的(⊙o⊙)哦-基于Quartus II 可直接集成到SOPC,自定义II C IP核
Platform: | Size: 4562944 | Author: zy | Hits:
« 1 2 34 5 »

CodeBus www.codebus.net